From d3a0cc3a8ba6dfeb64d3faeffdeb6845b60e5840 Mon Sep 17 00:00:00 2001 From: Yuchen Pei Date: Sat, 20 Jan 2018 15:41:49 +0100 Subject: rearranged the dir for github - removed tools and pdfs - rearranged the projects dirs - added md files - other minor changes --- chips/Not16.hdl | 33 +++++++++++++++++++++++++++++++++ 1 file changed, 33 insertions(+) create mode 100644 chips/Not16.hdl (limited to 'chips/Not16.hdl') diff --git a/chips/Not16.hdl b/chips/Not16.hdl new file mode 100644 index 0000000..f24fdb0 --- /dev/null +++ b/chips/Not16.hdl @@ -0,0 +1,33 @@ +// This file is part of www.nand2tetris.org +// and the book "The Elements of Computing Systems" +// by Nisan and Schocken, MIT Press. +// File name: projects/01/Not16.hdl + +/** + * 16-bit Not: + * for i=0..15: out[i] = not in[i] + */ + +CHIP Not16 { + IN in[16]; + OUT out[16]; + + PARTS: + // Put your code here: + Not (in=in[0], out=out[0]); + Not (in=in[1], out=out[1]); + Not (in=in[2], out=out[2]); + Not (in=in[3], out=out[3]); + Not (in=in[4], out=out[4]); + Not (in=in[5], out=out[5]); + Not (in=in[6], out=out[6]); + Not (in=in[7], out=out[7]); + Not (in=in[8], out=out[8]); + Not (in=in[9], out=out[9]); + Not (in=in[10], out=out[10]); + Not (in=in[11], out=out[11]); + Not (in=in[12], out=out[12]); + Not (in=in[13], out=out[13]); + Not (in=in[14], out=out[14]); + Not (in=in[15], out=out[15]); +} -- cgit v1.2.3