From b8f53f80d0a506f1c64d2318db8e05f844bb1fa0 Mon Sep 17 00:00:00 2001 From: Yuchen Pei Date: Wed, 29 Nov 2017 16:19:33 +0100 Subject: Finished Project 1. --- projects/01/Mux16.hdl | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) (limited to 'projects/01/Mux16.hdl') diff --git a/projects/01/Mux16.hdl b/projects/01/Mux16.hdl index 97dd784..ff09c6b 100644 --- a/projects/01/Mux16.hdl +++ b/projects/01/Mux16.hdl @@ -15,4 +15,20 @@ CHIP Mux16 { PARTS: // Put your code here: + Mux (a=a[0], b=b[0], sel=sel, out=out[0]); + Mux (a=a[1], b=b[1], sel=sel, out=out[1]); + Mux (a=a[2], b=b[2], sel=sel, out=out[2]); + Mux (a=a[3], b=b[3], sel=sel, out=out[3]); + Mux (a=a[4], b=b[4], sel=sel, out=out[4]); + Mux (a=a[5], b=b[5], sel=sel, out=out[5]); + Mux (a=a[6], b=b[6], sel=sel, out=out[6]); + Mux (a=a[7], b=b[7], sel=sel, out=out[7]); + Mux (a=a[8], b=b[8], sel=sel, out=out[8]); + Mux (a=a[9], b=b[9], sel=sel, out=out[9]); + Mux (a=a[10], b=b[10], sel=sel, out=out[10]); + Mux (a=a[11], b=b[11], sel=sel, out=out[11]); + Mux (a=a[12], b=b[12], sel=sel, out=out[12]); + Mux (a=a[13], b=b[13], sel=sel, out=out[13]); + Mux (a=a[14], b=b[14], sel=sel, out=out[14]); + Mux (a=a[15], b=b[15], sel=sel, out=out[15]); } -- cgit v1.2.3