From 4584283403948cc6d1bd49a83e0fca47a58f8e9f Mon Sep 17 00:00:00 2001 From: Yuchen Pei Date: Thu, 7 Dec 2017 16:38:00 +0100 Subject: almost finished project 3 --- projects/03/b/RAM16K.hdl | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) (limited to 'projects/03/b/RAM16K.hdl') diff --git a/projects/03/b/RAM16K.hdl b/projects/03/b/RAM16K.hdl index 119a19e..9c6e89f 100644 --- a/projects/03/b/RAM16K.hdl +++ b/projects/03/b/RAM16K.hdl @@ -16,4 +16,10 @@ CHIP RAM16K { PARTS: // Put your code here: -} \ No newline at end of file + DMux4Way (in=load, sel=address[0..1], a=load0, b=load1, c=load2, d=load3); + RAM4K (in=in, load=load0, address=address[2..13], out=out0); + RAM4K (in=in, load=load1, address=address[2..13], out=out1); + RAM4K (in=in, load=load2, address=address[2..13], out=out2); + RAM4K (in=in, load=load3, address=address[2..13], out=out3); + Mux4Way16 (a=out0, b=out1, c=out2, d=out3, sel=address[0..1], out=out); +} -- cgit v1.2.3