aboutsummaryrefslogtreecommitdiff
path: root/projects/02/FullAdder.hdl
diff options
context:
space:
mode:
authorYuchen Pei <me@ypei.me>2017-11-30 14:26:43 +0100
committerYuchen Pei <me@ypei.me>2017-11-30 14:26:43 +0100
commitd4e7065850203ff97a1046615644f9a6f73eb523 (patch)
treeb313f0657eb893507e19c107c2ce7b30005f6541 /projects/02/FullAdder.hdl
parentb8f53f80d0a506f1c64d2318db8e05f844bb1fa0 (diff)
finished project 2
Diffstat (limited to 'projects/02/FullAdder.hdl')
-rw-r--r--projects/02/FullAdder.hdl5
1 files changed, 4 insertions, 1 deletions
diff --git a/projects/02/FullAdder.hdl b/projects/02/FullAdder.hdl
index 67559c3..451499f 100644
--- a/projects/02/FullAdder.hdl
+++ b/projects/02/FullAdder.hdl
@@ -14,4 +14,7 @@ CHIP FullAdder {
PARTS:
// Put you code here:
-} \ No newline at end of file
+ HalfAdder (a=a, b=b, sum=sum1, carry=carry1);
+ HalfAdder (a=sum1, b=c, sum=sum, carry=carry2);
+ Or (a=carry1, b=carry2, out=carry);
+}