aboutsummaryrefslogtreecommitdiff
path: root/projects/03/b/RAM512.hdl
diff options
context:
space:
mode:
authorYuchen Pei <me@ypei.me>2018-01-20 15:41:49 +0100
committerYuchen Pei <me@ypei.me>2018-01-20 15:41:49 +0100
commitd3a0cc3a8ba6dfeb64d3faeffdeb6845b60e5840 (patch)
treed58df9ec2480e2a9ec6240f9c797f83d1a0b1056 /projects/03/b/RAM512.hdl
parent3571f998b28fbc8d9250ba04c983935f10a16c15 (diff)
rearranged the dir for github
- removed tools and pdfs - rearranged the projects dirs - added md files - other minor changes
Diffstat (limited to 'projects/03/b/RAM512.hdl')
-rw-r--r--projects/03/b/RAM512.hdl29
1 files changed, 0 insertions, 29 deletions
diff --git a/projects/03/b/RAM512.hdl b/projects/03/b/RAM512.hdl
deleted file mode 100644
index 55f6ea0..0000000
--- a/projects/03/b/RAM512.hdl
+++ /dev/null
@@ -1,29 +0,0 @@
-// This file is part of the materials accompanying the book
-// "The Elements of Computing Systems" by Nisan and Schocken,
-// MIT Press. Book site: www.idc.ac.il/tecs
-// File name: projects/03/b/RAM512.hdl
-
-/**
- * Memory of 512 registers, each 16 bit-wide. Out holds the value
- * stored at the memory location specified by address. If load==1, then
- * the in value is loaded into the memory location specified by address
- * (the loaded value will be emitted to out from the next time step onward).
- */
-
-CHIP RAM512 {
- IN in[16], load, address[9];
- OUT out[16];
-
- PARTS:
- // Put your code here:
- DMux8Way (in=load, sel=address[0..2], a=load0, b=load1, c=load2, d=load3, e=load4, f=load5, g=load6, h=load7);
- RAM64 (in=in, load=load0, address=address[3..8], out=out0);
- RAM64 (in=in, load=load1, address=address[3..8], out=out1);
- RAM64 (in=in, load=load2, address=address[3..8], out=out2);
- RAM64 (in=in, load=load3, address=address[3..8], out=out3);
- RAM64 (in=in, load=load4, address=address[3..8], out=out4);
- RAM64 (in=in, load=load5, address=address[3..8], out=out5);
- RAM64 (in=in, load=load6, address=address[3..8], out=out6);
- RAM64 (in=in, load=load7, address=address[3..8], out=out7);
- Mux8Way16 (a=out0, b=out1, c=out2, d=out3, e=out4, f=out5, g=out6, h=out7, sel=address[0..2], out=out);
-}